Poster Session Program at a Glance

Aug. 12, 2024 (Mon.) - Aug. 13, 2024 (Tue.)

EUV Lithography
PS-1-1
Underlayer dependent local wafer deformation during EUV exposure
*고희창 (Hanyang Univ)
Abstract
PS-1-2
Improving Process Window and Resolution through Polarization in High NA EUV
채유진, 김민우, 유다경, 손승우, *오혜근 (Hanyang Univ)
Abstract
PS-1-3
Enhancement of photosensitivity and stability of Sn-12 EUV resist by integrating photoactive nitrate anion
강여경, *김명길 (SUNGKYUNKWAN Univ)
Abstract
PS-1-4
Mask 3D effect의 완화를 위한 high-NA 용 EUV 마스크 흡수 소재 탐색 연구
이승호, 정동민, 김연수, *안진호 (Hanyang Univ)
Abstract
PS-1-5
EUV ptychography microscope를 이용한 주기성 마스크 패턴의 고신뢰성 actinic 검사를 위한 EUV ptychography imaging 연구
홍준호,이동기,문승찬 , *안진호 (Hanyang Univ)
Abstract
PS-1-6
Spectral analysis of short-wavelength emissions for characterizing Tin laser-produced EUV light sources
손장협, 조병익 (GIST)
Abstract
PS-1-7
수직 분자설계된 EUV 레지스트의 화학 기상 현상 기반 건식 현상 성능 평가
김지원, 석지후, 지현석, 이재혁, 윤광섭, 성명모, *안진호 (Hanyang Univ)
Abstract
PS-1-8
Challenges of Mask Blank Technology in High NA EUV Lithography
박민규, 우미경, 윤종원, 양철규 (에스엔에스텍)
Abstract
PS-1-9
EUV 펠리클 제작을 위한 Si 습식 식각 공정 시 발생하는 오염물의 제어 연구
김태환, 김하늘, 김정연, 강영우, 박영욱, *안진호 (Hanyang Univ)
Abstract
PS-1-10
Variation of Photosensitivity in Antimony-Based Organometallic EUV Photoresists According to Ligand Position
이선진 (SUNGKYUNKWAN Univ)
Abstract
PS-1-11
EUV Pellicle 의 상대적 수명 추정: 피로 해석을 통한 소스 전력 및 결함의 영향 비교
전지현, *오혜근 (Hanyang Univ)
Abstract
PS-1-12
Development of performance evaluation system for extreme ultraviolet (EUV) materials
김우람, 최은석, 제갈원, 강상우, *김정형 (KRISS)
Abstract
Advanced Metrology and Inspection
PS-2-1
Depth Estimation Using Multi-modal Deep Learning from SEM Image and OCD Spectrum
황예은, 송민서 (SOGANG Univ), 마아미, 김규환, 장규백, 정재훈, 김대신 (SAMSUNG), *강석주 (SOGANG Univ)
Abstract
PS-2-2
Polarization-sensitive Fourier Ptychography via Pupil Plane Multiplexing
채혜숙, 이경원, 윤한솔, 이경철, *이승아 (YONSEI Univ)
Abstract
PS-2-3
Multiplexed Fourier ptychography with analytical pupil reconstruction for enhanced imaging
나현서, *장무석 (KAIST)
Abstract
PS-2-4
High NA Catadioptric 대물렌즈의 광학 설계 및 성능 분석
조유빈, Joo Ji Yong, Park Ji Hyun, * Lee Jun Ho (Kongju Nationa Univ)
Abstract
PS-2-5
Meta Shack-Hartmann wavefront sensor with enhanced spatial resolution and acceptance angle for incoherent phase imaging
송국호, *장무석 (KAIST)
Abstract
PS-2-6
Noise-robust kernel estimation based blind deblurring method for metrology and inspection
이찬석 (KAIST)
Abstract
PS-2-7
TSOM Fin-FET 구조 Bright Field / Dark Field Composite image 및 비율 최적화
김세정, 주지용, 이준성, *이준호 (Kongju Nationa Univ)
Abstract
PS-2-8
Defect Localization through Multi-Modal Deep Learning: Comparing Unaligned Camera and Blueprint Images
이상빈, 윤응구, *안태균 (세이지)
Abstract
PS-2-9
TSOM의 암시야 적용 및 FinFET 선폭 계측 연구
이준성, 주지용, 김세정, *이준호 (Kongju Nationa Univ)
Abstract
PS-2-10
Applicability of thermionic LaB6 virtualrnsource mode electron gun in electron beam lithography
이하림, 함영권, 오가와 타카시, *박인용 (KRISS)
Abstract
PS-2-11
비선형 3차조화파 생성에서의 근적외선 구동빔 파면 변조를 통한 심자외선 빔 패턴의 능동 제어
원승재, 김정윤, 김태원 , 김병기 (TOKYOTECH), 최승만 , 김승우 , *김영진 (KAIST)
Abstract
PS-2-12
ShapeMaster: Advanced 3D RCWA Modeling Software for Precision Optical Design and Analysis
성준제, 유신영, 이지원, 박서진 (Aurostechnology), 김광우, 김종정 (해도사)
Abstract
PS-2-13
Novel EUV lighting sources with carbon nanotube based on cold cathode electron beam(C-beam) irradiation technique
김익수, 천수빈, 오소민, *박규창 (KYUNG HEE Univ)
Abstract
PS-2-14
Development of cold cathode-based electron beam (C-beam) technology for scanning electron microscope imaging applications
RavindraPatil, Aniket Karande, Jung Hyun jin, *Kyu Chang Park (KYUNG HEE Univ)
Abstract
PS-2-15
Non-destructive measurement of semiconductorrnelectrical properties using terahertz-based in-line equipment technology
김원태, *양유신 (삼성전자 반도체연구소)
Abstract
PS-2-16
Advanced non-destructive imaging for super-resolution wafer inspection of highly periodic structures
이호준, 김형진, 신준호, 진영욱, 김진용, 김옥래, *이명준 (SAMSUNG)
Abstract
PS-2-17
3D Thermal Property Imaging System with Frequency-Domain Thermoreflectance
김지현, 서동윤, 한규성, *조정완 (성균관대)
Abstract
Alternative Lithography
PS-3-1
실크 단백질에 기반한 마이크로 컬러필터
이지현, 남관현, 최주완, *김성환 (Hanyang Univ)
Abstract
PS-3-2
Development of a micro/nano patterning process for high-curvature three-dimensional structures of various shapes
이성혁, *Hwan-Jin Jeon (TECH Univ)
Abstract
Patterning Materials
PS-4-1
Synthesis and Characterizations of Non-Alkyl Tin Oxo Cluster CNU-TOC-01(4C-C) and its Application to EUV Inorganic Resist
윤혁, 방지영, 김민엽 CHONNAM National Univ), 문형배, 김철민 (포켐래버래토리), 노우시, 김기정 (POSTECH), 이희선, 허규용 (KRICT), *정현담 (CHONNAM National Univ)
Abstract
PS-4-2
Optimization of Process Conditions for Enhanced Patterning Performance of EUV Inorganic Resist CNU-TOC-01(4C-C)
윤혁, 백승용, 정현담 (CHONNAM National Univ)
Abstract
PS-4-3
실크 단백질 내 2.5D 미세패턴화 된 암호화 정보의 전자빔 리소그래피 전사
최주완 (Hanyang Univ), 이태윤, 전헌수 (Seoul National Univ), *김성환 (Hanyang Univ)
Abstract
PS-4-4
Synthesis and Characterization of 4-Amino Benzoate Zinc Oxo Cluster for EUV Inorganic Resist
이가현, *정현담 (CHONNAM National Univ)
Abstract
PS-4-5
Synthesis and Characterization of Siloxane Core-Arm Type Molecular Resist for EUV Lithography
백승용, 윤혁, *정현담 (CHONNAM National Univ)
Abstract
PS-4-6
The Investigation of the Effect of Electron Beam Irradiation on Dibenzyltin Diacetate Thin Film Using Local Analysis and Quantum Chemical Calculations
윤현성, 윤혁, 정현담 (CHONNAM National Univ)
Abstract
PS-4-7
Vacuum-deposited Fluorinated Photoresist Formulationsrnfor OLED Pixel Formation
김가영, 최효은, 권유정, 김명웅, *이진균 (Inha Univ), 장귀원, 김태우, 팽기욱 (SUNGKYUNKWAN Univ), 소은영, 김두홍, 정병준 (University of Seoul)
Abstract
PS-4-8
Etchant-Free Thermal Developable Extreme Ultraviolet Photoresist Utilizing N-Heterocyclic Carbene-Metal Ligand Structures
김도원, 김상진, 문인욱, *홍석원 (GIST)
Abstract
PS-4-9
Enhancing Sensitivity of Inorganic Resists for EUV Lithography through Metal Sensitizers
방지영, 윤혁, 기원철 (CHONNAM National Univ), 노시우, 김기정(POSTECH), *정현담 (CHONNAM National Univ)
Abstract

리소그래피 분과

학회 사무국 : 010-3755-6870

대표 이메일 : 2024ngl.contact@gmail.com

Copyright @ 2024 Next Generation Lithography + Patterning. All Rights Reserved.